CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog encoder

搜索资源列表

  1. jpeg_encoder

    0下载:
  2. JPEG 编码器IP核,用verilog语言编写,不支持二级采样。-JPEG Encoder IP Core,The core is written in Verilog and is designed to be portable to any target device. This core does not perform subsampling- the resulting JPEG image will have 4:4:4 subsampling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:179185
    • 提供者:jwchen
  1. t2_manchester_coder

    0下载:
  2. Manchester 编码器的Verilog与VHDL实现,并分别采用moore和mealy机对其进行描述,比较了两种实现方法的不同。并且每种情况都给出了测试脚本,希望对您有用。-Manchester encoder Verilog and VHDL realization and moore and mealy machines were used to describe it, compare the two implementations of different methods. And
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:155971
    • 提供者:宋国志
  1. conv_encode

    0下载:
  2. 本设计是一个基于FPGA的咬尾卷积码编码器设计,要求使用verilog语言编写编码器模块,通过编译和综合,并通过matlab和modelsim仿真对比验证设计结果。-The design is an FPGA-based tail-biting convolutional code encoder design requires the use verilog language encoder module, through compilation and synthesis, and by c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19602872
    • 提供者:郭婷
  1. VITERBI

    0下载:
  2. viterbi编码算法verilog实现-viterbi encoder, developed by verilog language
  3. 所属分类:CA program

    • 发布日期:2017-03-30
    • 文件大小:29968
    • 提供者:brucefang
  1. Lab7_pencode83

    0下载:
  2. 8-3优先编码器的设计与实现.8-3优先编码器的真值表,本实验中用Verilog语句来描述.-Design and implementation of 8-3 priority encoder.8-3 priority encoder truth table, use the Verilog statement in this experiment to describe.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:100876
    • 提供者:penglx1803
  1. conv_encoder

    0下载:
  2. TD-LTE中(3.1.7)咬尾卷积码编码器verilog代码-Tail-biting convolutional code encoder verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:601
    • 提供者:杨总
  1. tcm_enc

    0下载:
  2. 用Verilog实现(2,1,2)卷积码和8—PSk调制相结合的TCM编码器-Using Verilog realize (2,1,2) convolutional code and 8-PSk modulation encoder combination of TCM
  3. 所属分类:Com Port

    • 发布日期:2017-04-09
    • 文件大小:574
    • 提供者:程星
  1. CAVLC

    1下载:
  2. verilog code for cavlc encoder
  3. 所属分类:LabView

    • 发布日期:2017-04-26
    • 文件大小:9315
    • 提供者:suman
  1. huff

    1下载:
  2. huffman encoder in verilog
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:5053
    • 提供者:sathish
  1. 8B_10BENCODER

    0下载:
  2. 基于8B10B的编解码模块的设计,使用verilog HDL语言,具有实用价值。-8B10B encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:370635
    • 提供者:DR.Y
  1. Haffman-encoding

    1下载:
  2. verilog implementation of huffman encoder with testbench
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:26104
    • 提供者:Setareh
  1. des.tar

    0下载:
  2. DES Encoder and Decoder Verilog RTL Code
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:38374
    • 提供者:richman
  1. HDB3-encoderauncoder

    0下载:
  2. HDB3编码器与解码器,以及RTL图,使用Verilog HDL实现-HDB3 encoder and decoder, and RTL diagram, use Verilog HDL to implement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:183888
    • 提供者:zhouyu
  1. RS_enc

    0下载:
  2. RS编码器设计,使用Verilog实现。-RS encoder design, Verilog implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:14950
    • 提供者:王坤
  1. 1553-EncoderDecoder---Documentation

    1下载:
  2. 1553b编解码参考设计 verilog 收发-1553b encoder decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:573356
    • 提供者:Ljm
  1. Encoder_8X3

    0下载:
  2. verilog code of 8X3 Encoder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:32103
    • 提供者:Rajesh
  1. RS_255_223_ENCODER

    0下载:
  2. rs255编码解码器,verilog描述,FPGA实现-RS255 223 ENCODER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:515062
    • 提供者:于斌
  1. cycle_en_decoder

    0下载:
  2. 卷积码编码/解码,Verilog语言实现,带仿真程序。-Convolution encoder/decoder, Verilog language, with a simulation program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2733
    • 提供者:张刚
  1. demoss

    0下载:
  2. FPGA的代码verilog语言编写,包括LED与按键验证,数据选择器,编码器,译码器半加器,全加器,适合初学者,已经在板子调试成功,板子是 睿智IV开发板。-FPGA code verilog language, including LED and key authentication, data selection, encoder, decoder and a half adder, full adder, suitable for beginners, it has been succe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:21079040
    • 提供者:ruanguopqing
  1. H.264-for-FPGA

    2下载:
  2. This Book describe about H.264 encoder using Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13733188
    • 提供者:jhojho
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com